Bug 221901

Summary: [patch] adapt lang/ocaml to new 'armv7' architecture
Product: Ports & Packages Reporter: Mark Linimon <linimon>
Component: Individual Port(s)Assignee: freebsd-ports-bugs (Nobody) <ports-bugs>
Status: Closed FIXED    
Severity: Affects Some People CC: amdmi3, danfe, michipili, mikael, mmel
Priority: --- Keywords: patch
Version: LatestFlags: bugzilla: maintainer-feedback? (michipili)
Hardware: Any   
OS: Any   
See Also: https://bugs.freebsd.org/bugzilla/show_bug.cgi?id=221894
Attachments:
Description Flags
patch to lang/ocaml
none
corrected patch to lang/ocaml
none
new patch
none
patch vs. r456402 linimon: maintainer-approval?

Description Mark Linimon freebsd_committer freebsd_triage 2017-08-29 12:17:59 UTC
Created attachment 185869 [details]
patch to lang/ocaml

The src tree is splitting 32-bit arm support into 'armv6' and the new 'armv7'.  This patch adds armv7 support.  It should provide no regression on any existing architecture.
Comment 1 Mark Linimon freebsd_committer freebsd_triage 2017-08-29 21:14:15 UTC
Created attachment 185896 [details]
corrected patch to lang/ocaml
Comment 2 Michal Meloun freebsd_committer freebsd_triage 2017-10-10 12:45:27 UTC
Created attachment 187048 [details]
new patch

Updated ocaml patch:
- armv7*-*-freebsd should be recognized by configure
- use devel/binutils:as (for build and runtime) because base AS is too old and doesn't support newer ARM cpus
Comment 3 Mark Linimon freebsd_committer freebsd_triage 2017-12-16 02:59:27 UTC
Created attachment 188868 [details]
patch vs. r456402
Comment 4 Mark Linimon freebsd_committer freebsd_triage 2018-11-01 15:54:32 UTC
I have not worked on this in nearly a year.  Release to unblock further progress.
Comment 5 Dmitry Marakasov freebsd_committer freebsd_triage 2021-02-16 13:31:00 UTC
It looks link this can be closed - as far as I can see, all parts of the patch seem to already be in lang/ocaml. Any objections?
Comment 6 Mikael Urankar freebsd_committer freebsd_triage 2021-02-23 19:54:16 UTC
(In reply to Dmitry Marakasov from comment #5)
yes